Schattenblick → INFOPOOL → MEDIEN → ALTERNATIV-PRESSE


WILDCAT/040: "The Summer of Semis"


Wildcat 110 - Herbst 2022

"The Summer of Semis" [1]


Weil so viel Neues passiert war, wollten wir das Interview zur "Chip-Krise" (Wildcat 108 *) fortsetzen und haben uns dazu Mitte Juli zweimal getroffen. Dann überschlugen sich die Ereignisse! Wir haben den bereits fertigen Artikel mehrfach upgedated - und auf dem Stand vom 10.8.22 eingefroren. Es ist eine spannende Geschichte über die Bedeutung technologischer Entwicklung in einer Schlüsselindustrie des gegenwärtigen Kapitalismus, ihre Grenzen und ihre verheerenden Folgen bis hin zum Krieg. Hier die Kurzfassung:

Nach der Krise 2007/8 hatte Apple ein hochprofitables Geschäftsmodell daraus gemacht, Design aus dem Silicon Valley und Chips aus Taiwan (TSMC) bei einer taiwanesischen Firma (Foxconn) in China montieren zu lassen und auf der ganzen Welt zu verkaufen (sogar in China liegt das iPhone mit 22 Prozent Marktanteil auf Platz 1). Hochbezahlte Entwickler entwerfen das Produkt, Fabrikarbeiterinnen in Asien bauen es zusammen. Die industriellen Kosten fallen in Asien an, die Profite fließen an die Aktionäre, zumeist amerikanische Fonds. Auf mittlere Sicht fallen aber auch die industriellen Fähigkeiten in Asien an: TSMC garantierte die "Technologieführerschaft" und ist heute nach Intel und Samsung der weltweit drittgrößte Halbleiterhersteller und mit Abstand der größte Auftragsfertiger (Foundry) für Fabless-Unternehmen wie AMD, Apple, Qualcomm, Nvidia usw. Die USA haben ihre Führung bei der industriellen Fertigung von Solarmodulen, Batterien ... und eben auch Chips nach Asien verloren.

Foxconn und TSMC sind Produkte einer ungeheuer schnellen Industrialisierung Taiwans. Es ist neben Südkorea das einzige Land, das nicht in der Middle Income Trap hängen geblieben ist, ein Drittel der Berufstätigen arbeitet in der Industrie. Die Löhne dort erreichen inzwischen US-Niveau, die ökologischen Ressourcen der Insel gehen zur Neige.

China würde nur zu gerne das Entwicklungsmodell kopieren - und Präsident Biden die industriellen Fähigkeiten. Auch deshalb wird nicht nur die Chipindustrie, sondern ganz konkret auch Taiwan zum Schlachtfeld der geopolitischen Auseinandersetzung.[2] Mit "Friendshoring" wollen die USA die technologische Entwicklung in China blockieren. Sie erklären die Stärke des bisherigen Modells, seine weltweiten Produktionsketten und Absatzkanäle, zu seiner Schwäche ("der Ukrainekrieg hat uns gelehrt, dass wir uns nie wieder in Abhängigkeit begeben dürfen!"). Sie treiben den Bau von Chipfabriken "im Westen" voran. Da eine aktuelle Fab mindestens 15 Milliarden Dollar kostet, müssen gewaltige Summen mobilisiert werden. (Zum Vergleich: eine Autofabrik state of the art für 150.000 bis 200.000 Autos im Jahr kostet etwas über eine Milliarde.)

Derweil rutscht die Chipindustrie in die Krise (starke Rückgänge der Verkäufe). Das "Apple-Modell" ist ökologisch und von der Lohnentwicklung her wohl ausgereizt; ein neues ist nicht in Sicht. Die geostrategische Initiative der USA würde den ökologischen Fußabdruck der Chipindustrie um ein Vielfaches vergrößern, wenn die geplanten Fabs tatsächlich die Produktion aufnehmen. Kann dieser Planet überhaupt so viele Chips ertragen?


Der globale Subventionswettlauf

Auch die EU will ihren Rückstand in der Halbleiterindustrie aufholen. Der EU Chips Act [3] soll mit 43 Milliarden Euro den Anteil an der globalen Produktion bis 2030 "auf 20 Prozent verdoppeln". Das ist nach Stückzahlen gerechnet (eine Billion Mikrochips werden jährlich weltweit produziert, davon zehn Prozent in der EU). Nach Preisen beträgt der Umsatz in Europa etwa 40 Milliarden Euro, weltweit mehr als 600 Milliarden Dollar.

Mitte März wurde bekannt, dass Intel in Magdeburg zwei Chipfabriken der "Ångström-Ära" bauen will. In unserem letzten Gespräch (Wildcat 108, Mitte Juni 2021) hattest Du auf die Frage "Wie realistisch sind neue Chip-Fabriken im niedrigen Nanometer-Bereich in der BRD?" geantwortet: "Ich glaube nicht, dass die EU zehn Milliarden in die Hand nimmt - diese Zahl hat Intel genannt..." Ganz so viel war anscheinend nicht nötig. Die erste der beiden Fabs soll 17 Milliarden Dollar kosten, etwa 40 Prozent davon kommen aus staatlichen Töpfen: 2,7 Milliarden Euro waren bereits im Bundeshaushalt 2022 eingeplant, zusätzliche EU-Subventionen von 4,1 Milliarden Euro sollen 2024 fließen. Welche Rolle wird Magdeburg für die europäische Halbleiter-Industrie spielen?

Intel hatte Anfang des Jahres angekündigt, 88 Milliarden Dollar in Europa zu investieren, um die Abhängigkeit von "asiatischen Chipproduzenten" zu verringern; für die Zukunft werben sie bereits damit, in den USA und in Europa "alles aus einer Hand" liefern zu können. Wichtige Entwicklungsabteilungen haben sie schon heute in Europa: in Irland und auch in München. Somit ist Magdeburg eine strategische Entscheidung. In Norditalien will Intel ein neues Werk für Packaging-Prozesse bauen - geplante Investition fünf Milliarden, Zuschuss vom italienischen Staat 40 Prozent. Niemand pokert gerade so heftig um Subventionen wie Intel. Daneben erwartet man vom Staat laxe Genehmigungsverfahren, billigen Strom - der noch dazu dem green washing dient - und Trinkwasser zum Spottpreis, wie wir es ja auch bei Tesla in Brandenburg gesehen haben.

Wenn der EU Chips Act rechtzeitig ratifiziert wird, "hofft" Intel auf den ersten Spatenstich in Magdeburg 2023. Dann würde die Volumenproduktion 2027 mit dem "Intel 3"-Prozess (soll 5 Nanometer entsprechen) starten; die Fab sei bereits für "Intel 20A" vorbereitet. TSMC liefert 5-Nanometer-Chips seit 2019, die 3-Nanometer-Produktion startet Anfang 2023, 2 Nanometer sind für 2026 angekündigt - der Rückstand ist also beträchtlich.

Intel will seine Fertigungskapazitäten auch in USA ausbauen und plant zwei Fabs in Ohio für 20 Milliarden Dollar. Daraus könnten auch 100 Milliarden für insgesamt acht Fabs werden, wenn genügend Staatsknete fließt. Am 28. Juli hat der US-Kongress den Chips and Science Act zur Förderung der Chipproduktion in den USA verabschiedet. Im Gesamtvolumen von 280 Milliarden Dollar sind 25 Prozent Steuernachlass für Unternehmen bei Neu- oder Erweiterungsbauten eingerechnet. 52 Milliarden Dollar fließen als Direktsubventionen; mehr als 20 Prozent davon, nämlich elf Milliarden, sollen in Forschung und Ausbildung der Arbeitskräfte gehen ("workforce development"). Der Hauptteil geht in den Aufbau von Fabs und kommt insbesondere Intel zugute. Auch TSMC hat gerade den Rohbau einer 5-Nanometer-Fab in Arizona fertiggestellt, ab 2024 sollen dort 20.000 Wafer pro Monat hergestellt werden. Und Samsung baut eine 5-Nanometer-Fab in Texas, die Ende 2024 in Betrieb gehen soll.

Wie weit liegt Intel technologisch zurück?

Intels Roadmap ist Intel 7 → Intel 4 → Intel 3 → Intel 20A → Intel 18A. Intel 3 wäre dann Magdeburg; aber bis heute haben sie große Schwierigkeiten mit dem Hochfahren von Intel 4 (soll irgendwas zwischen 7 und 5 Nanometer entsprechen). Wie gesagt sind bei TSMC und Samsung 3-Nanometer-Prototypen bereits verfügbar; größere Stückzahlen gibt es 2023.

Chips mit Nodes kleiner als zehn Nanometer machten im ersten Halbjahr 2022 nur zwei Prozent der weltweiten Produktion aus, Chips von 14 Nanometer und darüber 95 Prozent. Wo sind kleinere Nodegrößen überhaupt notwendig? Und was ist dieses für Magdeburg geplante Ångström?

Wie in der Wildcat 108 beschrieben, ist "Nanometer" keine nachmessbare Größe mehr, bereits beim 45-Nanometer-Node entkoppelte sich die FET-Gate-Length von verkleinerten Strukturen.[4] Was TSMC und Samsung als 5 bzw. 3 Nanometer vermarkten, gilt aber weiterhin als Referenzgröße für die jeweiligen Prozessfortschritte. Je größer die Probleme beim technologischen Fortschritt werden, desto kreativer die Benennungen, Intel vorne dran: Nach Intel 3 soll die "A-Familie" kommen: erst Intel 20A, dann Intel 18A. Das A soll auf die Maßeinheit "Ångström" anspielen (1 Å = 0,1 nm!). Paul Alcorn von Tom's Hardware ätzte: "If you can't beat them, change the name."

Chips mit Nodes kleiner als zehn Nanometer werden für Smartphones, High Performance-Computing (HPc), 6G, Autonomes Fahren, KI-Beschleuniger, usw. eingesetzt. Nodegrößen von 10-45 Nanometer machen die Hälfte der Produktion aus; sie sind Standard für MCU (Mikrocontroller), Standard-MPU (Mikroprozessor), "Consumer Internet- der-Dinge", Low-Cost-PCs und -Laptops, für Weiße Ware, Autos, Medizintechnik, SmartHome (Alexa), SmartWatch und Spielekonsolen. Prozesse größer als 90 Nanometer stellen immer noch 38 Prozent der gefertigten Chips und werden insbesondere in der Mess- und Regeltechnik, der Maschinensteuerung und der Robotik eingesetzt.

Gibt es in der Auto- oder Haushaltsgeräteindustrie ähnlichen Druck wie bei SmartPhones und Serverfarmen hin zu kleineren Nodes?

Für Autos und Industrie sind robuste Prozesse weitaus entscheidender; technisch gibt es keinen Druck, auf Node-Größen kleiner als 14 Nanometer zu gehen - mit Ausnahme der KI-Beschleuniger in beiden Bereichen, die brauchen 7 Nanometer und kleiner. Der Schritt zu 7 Nanometern erfordert eine andere Technologie (Fertigung und physikalischer Aufbau der Transistoren). Aber von 28 auf 14 Nanometer zu gehen, könnte sich für Autos, Industrie und Medizintechnik durchaus anbieten.

Du hast jetzt mehrmals "KI-Beschleuniger" erwähnt. Mit "Künstlicher Intelligenz" wird gerade überall geworben bzw. gedroht. Gemeint ist damit "maschinelles Lernen" - und das braucht nicht nur viele Daten (big data), sondern auch viel Energie. Eine oft zitierte Studie der Universität von Massachusetts aus dem Jahr 2019 (Strubell et al., 2019) kam zum Ergebnis, dass das Training einer einzelnen "künstlichen Intelligenz" so viel CO2 ausstößt wie fünf Autos in ihrem gesamten Lebenszyklus. In den letzten zwei Jahren hat sich der durchschnittliche Energieverbrauch zum Training eines KI-Modells aber ver-18000-facht! KI ist somit einer der größten Klimakiller...[5]

Ja, und den aktuellen Hype im Wissenschafts-Feuilleton "KI im Kampf gegen den Klimawandel" verstehe ich aus Faktensicht überhaupt nicht: Kampf gegen den Klimawandel heißt drastische und schnelle Reduktion der Freisetzung von Kohlenstoffdioxid und Methan. Punkt. Die KI-Modelle helfen höchstens den Versicherungen, mögliche Katastrophenszenarien durchzurechnen, weil genau diese Reduktion nicht passiert. Und natürlich beschleunigt aller Energiebedarf, der aus fossilen Ressourcen gedeckt wird, den Klimawandel.

Wobei es im Fall der "KI" ja nicht nur um den Stromverbrauch der dafür nötigen Serverfarmen geht. Schon für die Fertigung eines Chips von zwei Gramm Masse werden mehr als 70 Gramm Chemikalien, 1,5 Kilogramm fossile Brennstoffe und 35 Liter Wasser benötigt... [6]

... der Energiebedarf der neuen Bosch-Fab in Dresden sei mit dem Bedarf einer Kleinstadt vergleichbar, erklärte der Drewag-Netz-Chef. Dabei liegt die im unteren Bereich; eine 3-Nanometer-Fab braucht 6,3 Terawattstunden im Jahr (der gesamte weltweite Strombedarf liegt bei 25.000 Terawattstunden). Das wird auch finanziell zum Problem, weil es laut McKinsey 30 Prozent der gesamten Fab-Betriebskosten ausmacht.

Außerdem braucht eine moderne Fab bis zu 45 Millionen Liter Wasser pro Tag, ein großer Teil davon "ultra pure water", was das Recycling schwierig macht. Die Recyclingquote liegt heute bei 40 bis 70 Prozent, bei neu zu bauenden Fabs bei 80 Prozent. Technisch möglich (aber teuer) wären 90 Prozent - was immer noch 4,5 Millionen Liter Frischwasser pro Tag entsprechen würde.


Die Bedeutung der industriellen Fertigung in der Geopolitik

Die USA haben die Elektronik-/Halbleiterindustrie als Schlachtfeld für ihren geostrategischen Kampf mit China gewählt. Das lag machtpolitisch nahe, denn China ist von bestimmten Schlüsseltechnologien abhängig, die die USA und deren Verbündete - EU, Japan und Südkorea - kontrollieren. Aber wie irre ist es, in die wohl am stärksten global vernetzte Branche durch Sanktionen scharfe Trennlinien ziehen zu wollen? Kannst Du bitte nochmal kurz den Produktionsprozess skizzieren?

Zunächst werden die Wafer aufwendig produziert (siehe Wildcat 108); dann zersägt und (manchmal auch zu mehreren) in ein Gehäuse eingebaut; diesen Prozess nennt man "Packaging". Danach werden mit diesen Chips Leiterplatten bestückt, die schließlich in das Endgerät (SmartPhone, Computer, Motorsteuerung, ...) eingebaut werden. Das Chip-Design erfolgt bei anderen Firmen, von denen viele in den USA sitzen. Alle Fertigungsschritte (Wafer, Packaging, Bestückung, Endmontage) sind weltweit verteilt. Und was die Sache noch wesentlich komplexer macht: in jedem der Schritte werden wiederum Zulieferfirmen gebraucht.

Zum Beispiel kann zur Zeit nur die holländische Firma ASML Lithografiemaschinen im "extremen Ultraviolettbereich" (EUV) liefern. Um eine solche, 150 Millionen Euro teure, Maschine zusammenzusetzen, ist ASML wiederum auf 5000 Zulieferer angewiesen; darunter Zeiss für die Optik. Es sind gewaltige Anstrengungen nötig, um diese komplexe globale Vernetzung in unabhängige Kreise "befreundeter Staaten" zu zerschneiden!

Am 14. Juni warnte die Wirtschaftswoche vor der paradoxen Wirkung des Friendshoring. "Sollte sich China auf eine Invasion Taiwans vorbereiten, würde es damit beginnen, seine Abhängigkeit von den westlichen Volkswirtschaften zu verringern"; und genau diesen Prozess treibe "das westliche Friendshoring ungewollt voran".

Auch der Chips and Science Act fordert Friendshoring, Subventionen gibt es nur für Firmen, die nicht in Ländern produzieren oder in diese exportieren, welche eine "Bedrohung für die nationale Sicherheit" der USA darstellen.[7] Das gilt für alles unter 28 Nanometer, und richtet sich direkt gegen TSMC, deren 2018 eröffnete Fab in Nanjing für 16-Nanometer-Chips angekündigt war... "Bedrohung für die nationale Sicherheit" lässt sich übrigens leicht auf andere Länder ausweiten!

Friendshoring hilft sicherlich, um die eigenen Verbündeten zu dominieren - schadet aber China womöglich nur kurzfristig. Ein gutes Beispiel dafür ist die Sanktionspolitik gegen Huawei.[8] 2019 setzten die USA die Firma auf die Blacklist und verboten damit TSMC, ihnen Chips im 7-Nanometer-Bereich zu verkaufen (und ließen 2020 ihre Finanzchefin wegen Verstoß gegen Iran-Sanktionen in Kanada verhaften). Damit SMIC, der größte Chiphersteller Chinas, selbst 7-Nanometer-Chips produzieren kann, bräuchten sie die gerade erwähnten EUV-Lithografiemaschinen von ASML. (SMIC ist Großkunde von ASML und hat allein im Jahr 2021 81 Maschinen der Vorgängergeneration DUV bezogen.) Die EUV-Maschinen verwenden aber Software, die in der US-Tochtergesellschaft von ASML entwickelt wurde (American intellectual property content) und fallen unter die US-Sanktionen. Deshalb ist Huawei als Handyproduzent auf den vierten Platz abgerutscht - bleibt aber Weltmarktführer bei Telekommunikationsausrüstung mit einem Anteil von 31 Prozent, doppelt so viel wie seine nächsten Konkurrenten Nokia und Ericsson. Inzwischen erwägt die Bundesregierung ein Verbot von Huawei-Komponenten in der Netzinfrastruktur - gegen den Widerstand der Netzausrüster sollen eventuell sogar ältere Komponenten wieder ausgebaut werden.

Mitte Juli 2022 berichtete die South China Morning Post, dass die US-Regierung ihr Exportverbot gegenüber ASML von EUV- auf DUV-Maschinen ausdehnen will. Hintergrund sei, dass es China mit technischen Kniffen gelungen ist, auch mit DUV unter 14 Nanometer zu gehen. Allerdings sei der American intellectual property content bei den DUV-Maschinen nicht hoch genug, um das Verlangen der US-Regierung zu unterfüttern. Der eigentliche Verlierer ist TSMC.

Wie weit ist China derweil mit dem Versuch, eine Chipfertigung vollständig mit eigenem Equipment aufzubauen? Wie viele Jahre sind sie zurück?

Die chinesische Regierung investiert massiv in die Unabhängigkeit des eigenen Chip-Sektors: Entwicklung neuer Prozesse, Aufbau neuer Fertigungskapazitäten und (Grundlagen-)Forschung. Dazu werden auch Ressourcen aus anderen Tech-Bereichen wie Social Media und Online-Handel abgezogen. 2021 kamen 16 Prozent der weltweiten Chip-Produktion (diese wird als Gesamtfläche der produzierten Wafer angegeben) aus China; für 2022 werden 19 Prozent prognostiziert. Allerdings wird ungefähr die Hälfte davon in Fabriken produziert, die im Besitz und unter Kontrolle nicht-chinesischer Firmen sind.[10] Führend bei der Chip-Produktion sind nach wie vor Südkorea mit 15 Prozent und Taiwan mit mehr als 60 Prozent des globalen Foundry-Marktes.

Das ist die quantitative Seite, die qualitative ist schwieriger einzuschätzen. Die Propaganda der KPCh verkündet Erfolge, die Konkurrenz außerhalb Chinas verweist auf Fehlschläge. Wie überall, wo viel Geld fließt, gibt es auch in China immer wieder Berichte über nicht erfüllte Pläne, unvorhergesehene Pleiten, Korruption und entsprechende Anklagen gegen geschasste Manager.[11]

Ende Juli berichtete auch die Taipei Times, dass SMIC bereits seit 2021 7-Nanometer-Chips fertigen kann; das wurde auch gleich bei Heise zitiert. [12] SMIC nennt diese Prozesse "N+1" und "N+2". "N+1" wird von SMIC auch als "8nm" oder "early 7nm" bezeichnet. Grobe Schätzungen aufgrund zugänglicher Informationen zeigen, dass es etwa in der Mitte zwischen TSMCs 14 und 7 Nanometern anzusiedeln ist. Aber offensichtlich haben die von TSMC abgeworbenen Leute das Wissen mitgebracht, wie man ohne EUV unterhalb von 14 Nanometern gehen kann. "N+2" (7 Nanometer) soll es 2023 geben.

Bei beiden Prozessen kommen einheimische Geräte zum Einsatz, die auf weiterentwickeltem DUV basieren. Das ist wohl der Hintergrund, warum die USA nun durchsetzen wollen, auch kein 14nm-Equipment mehr nach China zu liefern.

Der Fokus Chinas liegt ganz klar auf der Sicherstellung kritischer Infrastruktur trotz aller US-Sanktionen; im Zentrum steht das "3-5-2-Programm", mit dem alle importierten Computer (insbesondere in Rechenzentren) durch Made in China-Geräte ersetzt werden sollen (30 Prozent in 2020, weitere 50 Prozent in 2021, nochmals 20 Prozent in 2022 ... daher "3-5-2"). Dabei kopiert China nicht einfach das, was für das Land nicht mehr verfügbar ist, sondern setzt auf die Kreativität einer Masse hoch qualifizierter Techniker (viele von ihnen in den USA, Taiwan oder Südkorea ausgebildet), um schnelle und praktikable Lösungen zu finden. Insgesamt dürfte China stärker unter den allgemeinen Krisenentwicklungen in seinem Finanz- und Immobiliensektor und an den Null-Covid-Lockdowns leiden als an der US- Sanktionspolitik.

Der US-Handelskrieg sollte verhindern, dass China bei Spitzentechnologie unabhängig wird und hat letzten Endes die Entwicklung der chinesischen IT-Industrie sogar gefördert? Die Asia Times kommentierte das versuchte Exportverbot für DUV-Equipment: Einmal mehr versuchten die USA, das Scheunentor zu schließen, nachdem das Pferd schon draußen ist... [13]

Diese Einschätzung ist stimmig. Zwar hält sich sogar Huawei an die US-Sanktionen und liefert nicht nach Russland, aber mittelfristig könnte auch in der Halbleiterei so was wie ein eurasischer Block entstehen. Hier mischen auch deutsche Firmen wie etwa Merck im Lithografiebereich mit. Und China hat noch einige Karten im Ärmel wie Importverbote für Apple und andere, Exportverbote für seltene Erden, oder Produktionsverbote für US-Tech-Firmen bei Foxconn China (Intel und Apple). Apple etwa verlagert die Endmontage seiner Geräte mehr und mehr nach Indien und Vietnam, auch wenn die Begründung dafür derzeit noch die Covid-Lockdowns sind.[14]

... was ja in die Strategie der USA passt. Kurz vor Pelosis "Hazardtrip" am 3. August nach Taiwan wurde die "U.S.-Japan Competitiveness and Resilience (CoRe) Partnership" bekannt, eine strategische Zusammenarbeit zwischen den USA und Japan bei der Chipproduktion. Sie war am 29. Juli beim Besuch von Biden in Japan ratifiziert worden. Kannst du deren Erfolgsaussichten einschätzen?

Laut einem Artikel der Asia Times vom 1. August wollen sie bis 2025 2-Nanometer-Chips "zur Produktionsreife bringen", um die gemeinsame Abhängigkeit von TSMC zu verringern.[15] Sie setzen wahrscheinlich auf dem Prozess auf, den IBM im Mai 2021 vorgestellt hatte, der aber noch nicht reif für die Industrieproduktion ist. Auch TSMC will 2025 mit der Produktion von 2-Nanometer-Chips beginnen, plant aber bereits die entsprechende Fabrik.

Die Asia Times kommentierte, die USA und Japan würden "drei oder mehr Generationen hinter TSMC herhinken".

Im Kern des Unterfangens stehen japanische und US-Firmen wie IBM, Intel, Canon, Tokyo Electron u.a.; im November 2021 hatte zum Beispiel die japanische JSR Corporation den Kauf der US-Firma Inpria abgeschlossen, dem Weltmarktführer bei Fotolacken für EUV, beides enge Industriepartner von Intel. Der technologische Abstand (insbesondere zu TSMC) und die Schwierigkeiten Intels, ihre Fab-Prozesse zu stabilisieren, zwingen die USA zur Ansiedlung von TSMC- und Samsung-Fabs - nun ist aber öffentlich geworden, dass man seit Längerem darauf hingearbeitet hat, sich gegen (einen Ausfall von) TSMC bzw. Taiwan "abzusichern".

Tatsächlich traf sich Pelosi mit den Chefs von TSMC, "um über den Chips Act sowie die Unterstützung bei der Ausbildung von IngenieurInnen in den USA zu sprechen", wie es offiziell hieß. Sie werden viel zu bereden gehabt haben! Denn historisch hatten ja die USA dafür gesorgt, dass die "Ein-China-Politik" der KPCh weltweit akzeptiert wurde, weil man damals China gegen die Sowjetunion ausspielen wollte. 1971 verlor Taiwan seine Vertretung in der UNO, damit Nixon sich Anfang 1972 mit Mao treffen konnte. Im Gegenzug sprach Mao davon, man könne die Wiedervereinigung aufschieben, womöglich hundert Jahre. 1979 kappten die USA den diplomatischen Kontakt zu Taiwan, usw. Heute sieht man offensichtlich die Zeit gekommen, sich mit Russland und China gleichzeitig anzulegen und muss deshalb Taiwan gegenüber einen Spagat vollführen.[16] Das Unternehmen, das die USA am meisten brauchen, leidet am meisten unter ihren Sanktionen; bisher macht TSMC 20 Prozent seines Umsatzes mit China. Wie viele Jahre braucht "der Westen" noch, um auf Taiwans Chipindustrie verzichten zu können?

Wenn wir uns den gesamten Prozess - Chipdesign, Wafer, Packaging, Bestückung, Endmontage - aus Sicht der USA vergegenwärtigen, dann ist das Design kein großes Problem. Die größte Herausforderung ist die Produktion der kleineren Nodes im einstelligen Nanometer-Bereich. Hier sind TSMC und Samsung führend, danach folgt Intel (USA) und nur noch knapp dahinter China (SMIC). Für diese Produktion wird eine hoch qualifizierte, junge und motivierte Arbeitskraft gebraucht, die in Europa und den USA erst ausgebildet werden muss, teurer sein wird und auch nur schwer für die in Asien üblichen Zwölf-Stunden-Schichten zu motivieren sein wird. Das erlebt TSMC gerade bei ihrer neuen Fab in den USA. Laut dem Verband der Halbleiterhersteller (SEMI) fehlen in den USA in den nächsten Jahren in der Branche bis zu 750.000 Arbeitskräfte; Sanjay Malhotra von SEMI bringt das Problem auf den Punkt: "You can have a fab, you can fill it with equipment, but if you don't have the people to run it, what's the point?"

Wie schwierig es ist, bei neuen Prozessen überhaupt etwas Verwendbares zu produzieren, musste Qualcomm bei 7-Nanometer-Prozessoren (für Smart-Phones) erfahren: Monatelang lag der Ausschuss bei 100 Prozent, bis endlich erste funktionierende Chips aus der Fabrik kamen. Auch Samsung hat bei den neuen 4-Nanometer-Snapdragon8-Prozessoren neun Monate nach dem Start der Produktion eine Ausbeute von gerade mal 35 Prozent, der Rest ist Ausschuss.

Was anderes sind Fabs für ältere Prozesse in Deutschland und Europa. Die laufen recht stabil und sind deshalb ausreichend automatisierbar (z. B. auch die neue Bosch-Fab in Dresden). Die industriellen Prozesse technologisch vorwärtszutreiben, erfordert hingegen das ständige Eingreifen von Arbeiterinnen in Fabrikschichten rund um die Uhr!

Apropos: Wie hat sich denn die Situation bei TSMC in Taiwan weiter entwickelt?

Die Zahl der Beschäftigten ist von 2019 bis 2021 noch einmal um 25 Prozent auf über 65.000 gestiegen. Nach eher moderaten Lohnerhöhungen von drei bis fünf Prozent in den letzten fünf Jahren hat TSMC die Gehälter 2021 um 20 Prozent erhöht - erklärtermaßen um qualifizierte Arbeiter zu halten und anzuwerben. Sie liegen jetzt zwischen 77.500 und 136.000 Dollar pro Jahr und sind damit gleichauf mit den Löhnen, die man BewerberInnen für die in Bau befindlichen US-Fabs anbietet (63.000 bis 155.000 Dollar). Das erklärt im Umkehrschluss auch teilweise die Probleme, in den USA qualifizierte Arbeitskräfte zu finden.


Alle verfügbaren Ressourcen in die Chip-Industrie?

Derweil entfaltet sich die Krise der Chip-Industrie: Der inflationsgetriebene Rückgang der Consumer-Elektronik betrifft alle Bereiche: Fernseher, PC5, Smartphones, Hausgeräte... Zum ersten Mal seit 1976 sind die Chip-Verkäufe in einem Juni im Monat-zu-Monat-Vergleich zurückgegangen...

Im letzten Jahr hat fast jeder fünfte Mensch ein neues SmartPhone gekauft (2021 wurden weltweit 1,39 Milliarden Stück abgesetzt). Das erklärt die aktuellen Rekordgewinne - zeigt aber auch, dass diese sich schwer aufrechterhalten lassen. Die Chipindustrie ist in einer zyklischen Abwärtsbewegung. Speicherchips gelten als Frühwarnsystem der Branche, weil sie in allen Bereichen benötigt werden. Und Speicherchip-Hersteller wie Micron und Hynix verzeichnen bereits das dritte Quartal hintereinander stark schrumpfende Absätze. Inzwischen haben alle Chiphersteller mit ausgedehnten Auftragsstornierungen zu kämpfen, eine stabile Nachfrage kommt nur noch von Datenzentren und der Autoindustrie. TSMC hat nach dem Rekordgewinn im zweiten Quartal 2022 die Preise erhöht - und kürzte gleichzeitig die Investitionen. Ein Grund dafür waren Lieferverzögerungen von Fabrikanlagen, der Hauptgrund aber ist, dass die Lager der Kunden voll sind; diese werden weniger bestellen.[17]

Zyklen von zwei bis drei Jahren kennt die Chipindustrie schon immer. Der aktuelle Abschwung ist aber der stärkste seit mehr als zehn Jahren. Dazu kommen technische Probleme: Das Hochfahren des 3-Nanometer-Prozesses bei TSMC wurde zeitlich gestreckt, weil Intel seine übernächste Prozessorgeneration - deren Grafik-Teil sie bei TSMC fertigen lassen wollen - einfach nicht fertig kriegt und die für 2023 bestellten Wafer storniert hat. Zum ersten Mal seit der Formulierung von Moore's Law steigen die Preise für Chips.

Gordon Moore hatte 1965 sein "Gesetz" formuliert, wonach die Halbleiterei in der Lage sei, alle zwei Jahre die Performance der Chips bei annähernd gleichen Produktionskosten zu verdoppeln. 1968 gründete er Intel, das lange Jahre Marktführer und Platzhirsch der Chipindustrie werden sollte. "Moore's Law" war Grundlage der "digitalen Revolution" und Orientierungsmarke für strategische Investitionsentscheidungen - solange die Leistungsfähigkeit der Chips entsprechend wuchs. Heute scheint gerade Intel im Zentrum der Krise zu stehen, es fehlt an Geld, die technologische Entwicklung stagniert, Konkurrenten ziehen vorbei...

... 2021 lag Samsung mit über 83 Milliarden US-Dollar Umsatz zum ersten Mal vor Intel. Als Intel jetzt im Juli einen Umsatzrückgang von 22 Prozent, einen Verlust von 500 Millionen US-Dollar im zweiten Quartal und für das Gesamtjahr eine Umsatz-Erwartung von nur noch 65 bis 68 Milliarden meldete, brachen die Aktienkurse ein und Intel wurde (ebenfalls zum ersten Mal) in der Marktkapitalisierung überholt - von AMD, die zudem hochprofitabel sind! Intel kriselt schon lange, die boomende Nachfrage während der Pandemie hatte das kaschiert. Nun aber geht's ans Eingemachte: die Sparte PC/Laptops minus 25 Prozent, "Datenzentren und KI" minus 16 Prozent; das heißt, das Kerngeschäft bricht weg, und Ende Juli 2022 hat Intel seine letzte eigene Produktion von Speicherchips (Optane) aufgegeben. Die Aussichten sind düster: Die nächste Prozessorgeneration sollte Anfang 2021 kommen, nun sieht es nach Anfang 2023 aus;[18] die übernächste Generation wurde auf Anfang 2024 verschoben; die geplanten Investitionen von 27 auf 23 Milliarden Dollar gekürzt - dabei müsste Intel die Investitionen ausweiten, um nicht völlig abgehängt zu werden! Kommentare aus der Branche sprechen bereits von "Intels Kodak-Moment".

"Aus Resilienz-Gründen" sollen überall neue Chip-Fabriken gebaut werden. Bis Ende 2024 will China 31 neue Fabs bauen. Taiwan 19, die USA 12. Kann das die Chip-Industrie aus der Krise holen? Oder meint der Verweis auf Kodak das Ende einer Technologie so wie damals der Analogfilm?

Wenn ich die Diskussionen auf der Design Automation Conference Mitte Juli mit einer Präsentation von TSMC Anfang August zusammennehme, dann meint "Kodak" die Grenzen einer Technologie. Mii, der Vizepräsident von TSMC, wollte die glänzenden Perspektiven höchstintegrierter Schaltkreise vorstellen. Mit 6G (6G ist Kommunikationsinfrastruktur plus Rechenzentren) käme ein "digital data boom für HPC", denn ein Internet-User produziert 1,5 GB Daten täglich, ein selbstfahrendes Auto aber vier Terabyte, und eine "smarte Fabrik" sogar ein Petabyte! Die DAC ihrerseits diskutierte den explodierenden Energieverbrauch, der mit dieser Entwicklung auf uns zukommt. So ist der Stromverbrauch zum Training der "Künstlichen Intelligenzen" exponentiell gewachsen, und bei 6G fallen 70 bis 80 Prozent des Gesamtenergiebedarfs am edge, also am Endgerät, an. Die Milliarden an Geräten, die das "Internet der Dinge" ausmachen, werden schon bald mehr Energie verbrauchen, als wir produzieren können, sagte eine Teilnehmerin der Konferenz. Sollten wirklich 100 Millionen Autos mit KI unterwegs sein, würden die Netze auseinanderfliegen (ein anderer Teilnehmer). Einige Firmen in der KI-Branche versprechen, ihren Energiebedarf in den nächsten fünf Jahren auf ein Hundertstel (!!) zu reduzieren - Resümee der DAC: "Das reicht nicht einmal annähernd aus!" Wir sind in eine "Ära der Ineffizienz eingetreten", sagte ein weiterer Teilnehmer.

Wenn dann Mii ganz stolz erklärt, dass der Übergang von 7-Nanometer-Nodes auf 5 Nanometer eine Steigerung der Logik-Gatter pro Flächeneinheit um den Faktor 1,83 gebracht hat, und der Übergang von 5 Nanometer auf 3 noch einmal 1,6 bringe, dann zeigen seine eigenen Zahlen, dass selbst TSMC "Moore's Law" nicht mehr folgen kann - bei fallender Tendenz. Gleichzeitig steigen Fertigungskosten und die Erstellungskosten der Fabriken von Prozessschritt zu Prozessschritt signifikant an!

Moore hatte sein "Gesetz" 1965 formuliert, um zweifelnde Investoren seiner damaligen Firma bei der Stange zu halten. Intel scheitert schon seit 15 Jahren daran, es zu erfüllen - und hat große Probleme mit seinen Investoren: Sogar im roten Quartal haben diese durchgesetzt, dass die ausgeschütteten Dividenden weiter anstiegen, auf 1,5 Milliarden Dollar. Intel-Chef Gelsinger, mit 179 Millionen Jahresgehalt der bestbezahlte Manager der Welt, ist wesentlich damit beschäftigt, Geld von Investoren zu bekommen. Seine Erzählung ist aber nicht mehr der "Fortschritt", sondern die "strategische Resilienz", weil damit die meiste Staatsknete locker gemacht werden kann. Sehen wir gerade, wie Geopolitik und Überakkumulation, Verwertung und Ökologie aufeinanderknallen?

2021 stieg die Zahl der 300-mm-Waferfabriken um 14 auf 153. Dieses Jahr sollen zehn Fabs eröffnet werden, 2023: 13, 2024 zehn. 2025/26 nochmals 17. 2026 wären dann mehr als 200 solcher Fertigungslinien in Betrieb.[20] Der Aufbau von doppelten Lieferketten in den USA und Europa führt zu einer Überinvestition in den langfristigen Abschwung hinein.

Laut Bloomberg wird die Halbleiterindustrie 2030 20 Prozent des globalen Energiebedarfs verbrauchen. Dazu kommt der enorme Trinkwasserverbrauch! Der Ressourcenhunger der Chipindustrie ist ökologisch gesehen nochmal eine ganz andere Hausnummer als die Autoindustrie. In ihr Wachstum müsste man fast alle verfügbaren Ressourcen - Energie, Wasser, Arbeitskräfte - werfen. Aber niemand kann mir erklären, warum in jeder Haushaltslampe ein Computer mit vielen Chips und evtl. sogar Internetanbindung stecken muss! Weder Chips noch Datenströme sind kostenlos!

Die Frage ist weniger, ob dieser kapitalistische Zyklus zu Ende geht, sondern ob er an seiner Überakkumulation erstickt, bevor er die Erde zugrunde richtet.


* Der erste Teil des Interviews aus der Wildcat 108 vom Sommer 2021 ist zu finden unter:
http://wildcat-www.de/wildcat/108/w108_chipkrise.html


Anmerkungen:

[1] "Sommer der Halbleiter" nannte Jacob Carpenter die dramatische Entwicklung rund um die Chip-Industrie im Juli und August 2022 auf seinem blog "Manufacturing".

[2] Am 10.8.2022 berichtete das Redaktionsnetzwerk Deutschland über Modellrechnungen von US-Militär-Think-Tanks, wie stark Taiwan bei einem militärischen Konflikt mit China zerstört würde. www.rnd.de
Die FAZ fragte: "Ist der Krieg noch zu vermeiden?"

[3] Siehe Europäisches Chip-Gesetz auf: https://ec.europa.eu

[4] Siehe Wikipedia, Stichwort Technologieknoten

[5] Klimahelfer oder Klimasünder, ZEIT-online, 13.7.22.

Al Power Consumption Exploding, 15.8.2022 auf:
https://semiengineering.com

[6] The Semiconductor Sustainability Challenge - Accenture Weighs In, 19.5.22 auf: https://www.semi.org

[7] "recipients of Federal incentive funds are prohibited from expanding or building new manufacturing capacity for 'certain advanced semiconductors in specific countries that present a national security threat to the United States.'"
US Senate passses CHIPS Act 2022, 28.7.22 auf: https://www.digitimes.com

[8] Nancy Pelosi's visit to Taiwan was great news for China's chip sector as investors welcome the prospect of a chip war boom, Fortune, 3. August 2022: "TSMC, the world's biggest and most valuable chipmaker, stopped providing Chinese telecoms giant Huawei with advanced chip technology at the behest of Washington, which cost TSMC 30% of its revenue. (...) But Beijing still imports over $300 billion worth of semiconductors annually.
https://fortune.com!

[10] Tom's Hardware, 15.7.22 www.tomshardware.com siehe auch die Grafik China's share of global wafer capacity continues to climb, 21.2.22 auf: www.design-reuse.com/

[11] MIT Technology Review, 5.8.22: "Corruption is sending shock waves through China's chipmaking industry" "China's chipmaking industry descended into chaos last week, with at least four top executives associated with a state-owned semiconductor fund arrested on corruption charges." "On July 30, China's top anticorruption Institution announced that Ding Wenwu, the chief executive of the China Integrated Circuit Industry Investment Fund, nicknamed the "Big Fund," had been arrested (...) Established in 2014, the Big Fund was intended to use government money to build a supply chain of chips made in China, thus reducing reliance on the US and its allies."

[12] Taipeh Times, 23.7.22. www.heise.de, 25.7.22: Die ersten 7-Nanometer-Chips aus China: Meilenstein bei SMIC

[13] Asia Times, 14.07.2022: "China's chip-making equipment companies are the main beneficiaries of the tech war... "

[14] SpOn, 8.8.2022: Apple drängt Zulieferer, "Made in Taiwan" zu verschleiern "Der amerikanische Computerkonzern Apple hat offenbar seine in Taiwan ansässigen Lieferanten aufgefordert, ihre Produkte als in China hergestellt zu kennzeichnen. So sollen Verzögerungen in den Lieferketten vermieden werden, die durch strenge oder schikanöse chinesische Zollinspektionen entstehen könnten. ... Das Label "Made in Taiwan" kann unter diesen Umständen zu Verzögerungen, Bußgeldern und sogar zur Ablehnung einer ganzen Lieferung führen. Taiwan selbst verlangt, dass Exporte mit dem Herkunftsort gekennzeichnet werden...."

[15] Asia Times 1.8.22: US, Japan reaching for a 2-nm chip breakthrough..

[16] Pelosi Taiwan visit puts TSMC back in spotlight of U.S.-China rivalry, CNBC, 4.8.22.
Darin auch der Punkt, TSMC müsse entscheiden, auf welcher Seite sie stehen: "TSMC meanwhile is caught in the middle of the U.S.-China rivalry and could be forced to pick sides.". "The question is, as tensions between Taiwan and China increase, will TSMC be able to maintain its Position (aligning with the West), or will it be forced to recalibrate its geopolitical strategy."
Übrigens hat Pelosis Reise auch die Aktienkurse der chinesischen Foundries hochgetrieben;
Fortune, 6.8.22 :"Nancy Pelosi's visit to Taiwan was great news for China's chip sector as investors welcome the prospect of a chip war boom" "On Friday, shares of China's biggest chip companies surged the most since 2020, as investors bet that the growing Sino-U.S. chips showdown would spur the advancement of China's semiconductor sector."

[17] Chip giant TSMC warns of 'excessive inventory' at clients - Nikkei Asia, 14.7.22
https://asia.nikkei.com

[18] The Register, 5.8.22
www.theregister.com

[19] Digitimes Asia, 8.8.22
www.digitimes.com

[20] elektroniknet.de, 4.3.22
Die Zahl der 300-mm-Wafer-Fabs steigt bis 2026 um 25 Prozent.

*

Quelle: Wildcat 110 - Herbst 2022, S. 56 - 62
E-Mail: redaktion@wildcat-www.de
Internet: www.wildcat-www.de
Einzelheft: 5 Euro, Ausland 8 Euro
Abo: 4 Hefte (incl. Versand)
Deutschland: 20 Euro / Förderabo 40 Euro
Abo Ausland: 4 Hefte 30 Euro

veröffentlicht in der Online-Ausgabe des Schattenblick zum 1. Oktober 2022

Zur Tagesausgabe / Zum Seitenanfang